top of page
  • Foto del escritorElectronfer

ALU en VHDL

Actualizado: 11 sept 2021

En esta publicación, les presentaré como realizar la programación de una unidad aritmético-lógica en VHDL, además se mostrará la simulación y por último se presenta el código correpondiente.

ALU.vhd

Suscríbete en el canal de YouTube (https://www.youtube.com/c/electronfer) si el material de la página y del canal te ayudaron con lo que buscabas.


300 visualizaciones1 comentario

Entradas Recientes

Ver todo

1 Comment


Commenting has been turned off.
Ramiro Muñoz
Ramiro Muñoz
Jul 02, 2020

digamos que quisiera poner salidas que muestren que se pongan en uno si hay acarreo, o si la operacion da 00000000, y tambien si hay un numero negativo


Like
bottom of page